打印

分频程序经synthesis综合后仿真信号没出来

[复制链接]
1412|8
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
yanglei04053175|  楼主 | 2012-10-25 23:30 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 yanglei04053175 于 2012-10-25 23:40 编辑

Verilog分频程序:
`timescale 1ns/1ps
module Generator(clk,out);
input clk;
output out;

reg[3:0] num = 4'd1/* synthesis preserve = 1 */;
reg out = 1'b0/* synthesis preserve = 1 */;

always @(posedge clk)
    begin
    if(num == 4'b0101)
    begin
    out <= ~out;
    num <= 4'b0001;
    end
    else num <= num + 1'b1;
    end
Testbench程序:
`timescale 1ns/1ps
module testbench;
reg clk;
wire out;

initial
    begin
    clk = 1'b0;
    forever
    #12.5 clk = ~clk;
    end

Generator band_0(
                    .clk(clk),
                    .out(out)
                    );
endmodule


前ModelSim仿真没问题,综合后ModelSim仿真out信号输出为一条红线,大侠帮忙看看,很急啊!

相关帖子

沙发
Backkom80| | 2012-10-26 08:50 | 只看该作者
应该是out没有初值,建意用复位方式给初始值。

这个方式可能有些问题,reg out = 1'b0/* synthesis preserve = 1 */;

使用特权

评论回复
板凳
星星之火红| | 2012-10-26 22:40 | 只看该作者
;P谢谢贝壳

使用特权

评论回复
地板
lxAPP| | 2012-10-26 22:46 | 只看该作者
应该是out没有初值,建意用复位方式给初始值。

这个方式可能有些问题,reg out = 1'b0/* synthesis preserve = 1 */;
Backkom80 发表于 2012-10-26 08:50
厉害贝壳

使用特权

评论回复
5
yanglei04053175|  楼主 | 2012-10-27 00:04 | 只看该作者
采用了贝壳同学的建议,成功实现了,十分谢谢贝壳同学哈

使用特权

评论回复
6
星星之火红| | 2012-10-27 22:25 | 只看该作者
:lol

使用特权

评论回复
7
shang651| | 2012-10-27 22:32 | 只看该作者
谢谢贝壳同学

使用特权

评论回复
8
lxAPP| | 2012-10-27 22:35 | 只看该作者
:L

使用特权

评论回复
9
GoldSunMonkey| | 2012-10-27 22:47 | 只看该作者
谢谢贝壳同学;P

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

2

帖子

0

粉丝