打印

求助:为什么 CHIPSCOPE 对一些信号抓不到??

[复制链接]
5100|15
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
weishukongji|  楼主 | 2012-10-31 18:39 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
weishukongji|  楼主 | 2012-10-31 18:39 | 只看该作者
还需要做一些其他设置吗?

使用特权

评论回复
板凳
zrsing| | 2012-10-31 18:59 | 只看该作者
Chipscope还有一个HDL的流程,在逻辑综合前直接例化Chipscope,并把需要的信号在RTL代码中连到Chipscope上就可以了。

使用特权

评论回复
地板
星星之火红| | 2012-10-31 20:56 | 只看该作者
https://bbs.21ic.com/icview-270141-1-1.html
参考猴哥**,如果不行,在采用楼上的。

使用特权

评论回复
5
shang651| | 2012-10-31 21:02 | 只看该作者
信猴哥得永生

使用特权

评论回复
6
ococ| | 2012-11-1 10:20 | 只看该作者
使用chipscope时最好把综合选项里面keep hierarchy 设置为soft 或者YES

使用特权

评论回复
7
wmk1534| | 2012-11-1 12:26 | 只看该作者
七楼正解,有些变量编译时作为中间变量了,chipscope不会显示的。

使用特权

评论回复
8
pontiff| | 2012-11-1 15:10 | 只看该作者
那加一段测试代码,将中间变量放在寄存器里面呢?是不是就可以用chipscope显示了呢?

使用特权

评论回复
9
GoldSunMonkey| | 2012-11-1 21:58 | 只看该作者
那加一段测试代码,将中间变量放在寄存器里面呢?是不是就可以用chipscope显示了呢?
pontiff 发表于 2012-11-1 15:10
也不一定

使用特权

评论回复
10
gaochy1126| | 2012-11-1 23:01 | 只看该作者
触发信号的原因吗?

使用特权

评论回复
11
Oxygen.Chu| | 2012-11-7 11:39 | 只看该作者
1. 可以使用ChipScope Core而不是ChipScope Inserter
2. 采用attribute keep属性
3. 采用专用的MARK_DEBUG属性

使用特权

评论回复
12
GoldSunMonkey| | 2012-11-8 00:26 | 只看该作者
1. 可以使用ChipScope Core而不是ChipScope Inserter
2. 采用attribute keep属性
3. 采用专用的MARK_DEBUG属性
Oxygen.Chu 发表于 2012-11-7 11:39
听氧气哥的。

使用特权

评论回复
13
明空| | 2012-11-8 10:02 | 只看该作者
(*KEEP = "True"*) wire a;

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

11

主题

141

帖子

1

粉丝