本帖最后由 nono2000 于 2012-11-12 13:38 编辑
signal IO : std_logic_vector(7 downto 0);
...........................................................................
process(IO_en,IO_arr)
begin
IO <= IO_arr(0); <--- 为什么要这行?
for i in 1 to ext_mux_in_num-1 loop
if IO_en(i)='1' then
IO <= IO_arr(i);
end if;
end loop;
end process;
请问我搞不懂 IO 最後值?
Verilog "for"应用我知道,但是 vhdl 实在不 知道,......
谁人告诉我 VHDL FOR 动作流程?
|