打印

ISE仿真输出波形全部为z

[复制链接]
4173|4
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
用ise10.1编写的用cordic ip核设计数控振荡器的程序,编译没问题RTL也生成了,就是仿真的时候输出波形全显示z,不知道啥意思,谁知道帮忙解答一下?
module cordic_sin(phase_in,
   clk,
   x_out,
   y_out,
   rdy);

input [31 : 0] phase_in;
   input clk;
   output [11 : 0] x_out;
   output [11 : 0] y_out;
   output rdy;

sin sin(.phase_in(phase_in),
   .clk(clk),
   .x_out(x_out),
   .y_out(y_out),
   .rdy(rdy));

endmodule

相关帖子

沙发
guofucaigfc|  楼主 | 2012-11-29 09:31 | 只看该作者
[img][/img]

未命名.JPG (40.26 KB )

仿真图

仿真图

使用特权

评论回复
板凳
梅花望青竹| | 2012-11-29 10:35 | 只看该作者
这个不清楚,应该是rdy的原因,你把rdy置为使能状态吧

使用特权

评论回复
地板
guofucaigfc|  楼主 | 2012-11-29 15:25 | 只看该作者
“你把rdy置为使能状态吧”是啥意思? rdy是输出的啊

使用特权

评论回复
5
GoldSunMonkey| | 2012-11-29 20:16 | 只看该作者
检查代码里面Rdy产生的原理,然后查找原因。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

3

帖子

0

粉丝