打印

如何用verilog将50M的晶振频率分位1HZ

[复制链接]
1552|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
benxiaohai111|  楼主 | 2012-12-19 21:36 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
greenapl1985| | 2013-1-27 22:46 | 只看该作者
我也很好奇如何做到,芯片可以支持最小到1Hz的频率输出不?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

1

帖子

0

粉丝