打印
[Quartus]

我与XILINX的2012-综合的简叙

[复制链接]
1589|8
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
本帖最后由 shiyinjita 于 2012-12-23 18:35 编辑

转眼间2012即将过去,在这一年中,由SPARTAN3平台转到了SPARTAN6平台,在这一年中就做了3个项目,比如PCI,PCIe,还有DDR2,项目虽然小,但是收获却是不小,在这里写一点自己的小小体会。
在SP6中的PCIe 和DDR2 都是硬核,都是有固定的位置,如果不按照它的手册进行,那么将会导致很惨的结果,我在调试DDR2的时候就深有体会,闲话少说,在这里简单的说一下我的综合体会,
下面是一个很简单的例子
module ctl(
                        input clk ,
                        input rst ,
                        input en  ,
                        input black_up ,
                        output wire [7:0]addr_o
                                );
        reg [7:0]addr ;               
        always @(posedge clk ,negedge rst )
                begin
                        if (!rst)
                                addr <= #1 8'b0000_0000 ;
                        else if ( black_up )
                                addr        <= #1  addr - 1'b1 ;
                        else if (en )
                                addr  <= #1  addr + 1'b1 ;
                end
                assign addr_o = addr ;
endmodule
这个例子很简单,也就是很简单的加减法计数器,综合出来的结果大家也可以预料到,就是D锁存器,一般综合的时候大家会选择synplfy 或者ISE 自带的综合工具,在这里做了一下比较
下面是ISE 综合的结果

从这个图中可以看到综合结果,
而下图是sysplify中的综合结果

可以看到在Ise中,EN 和black_UP 综合出一个与非门,而sysplify中却是选择器的方式,如果在FPGA中,采用LUT的方式,这一点不会有任何影响,而在DFF中,却发现ISE中综合出来的不一样,在ISE中利用了CE引脚,而在syplify中没有利用,继续进行布局布线
下图为ISE的布局布线


下图为synplify的布局布线结果


从图中可以看到两者的布局布线都充分的利用了进位链
进一步看

ISE的


Synplify的


由以上两个图就能真正的比较出来ise充分了利用了CE这个时钟时能信号,而synplify没有利用这个信号
所以由上面得出结论,
如果想跑高速,那么可以选择synplify优先,
如果是低功耗,那么ISE的综合优先。



相关帖子

沙发
jahnson066| | 2012-12-23 21:57 | 只看该作者
学习一下

使用特权

评论回复
板凳
GoldSunMonkey| | 2012-12-23 22:45 | 只看该作者
相当好啊

使用特权

评论回复
地板
shiyinjita|  楼主 | 2012-12-23 23:38 | 只看该作者
jahnson066 发表于 2012-12-23 21:57
学习一下

:lol,大家共同学习

使用特权

评论回复
5
shiyinjita|  楼主 | 2012-12-23 23:39 | 只看该作者
GoldSunMonkey 发表于 2012-12-23 22:45
相当好啊

:lol,呼呼,我比较喜欢研究FPGA内部架构,想走低功耗的路线

使用特权

评论回复
6
shiyinjita|  楼主 | 2012-12-24 00:17 | 只看该作者
结贴结错了,把这个也结了,悲剧

使用特权

评论回复
7
lzmm| | 2012-12-26 22:23 | 只看该作者
好帖子 顶起来!

使用特权

评论回复
8
hawksabre| | 2012-12-27 18:03 | 只看该作者
顶一个   呵呵   谢谢楼主的共享

使用特权

评论回复
9
gaochy1126| | 2012-12-29 00:40 | 只看该作者
牛人呀

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

10

主题

143

帖子

1

粉丝