打印

经验分享:如何选购FPGA 开发板

[复制链接]
2300|23
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
LMQQ|  楼主 | 2013-1-5 22:31 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
FPGA技术当今已成为每一为电子工程师的必修课,在各个领域中都有广泛的应用.使得FPGA开发板的需求也大量的增加.大家可能出于不同的应用目的都需要购买FPGA 开发板。然而大多数人对FPGA,不时很了解不能选择好的产品,以至于影响自己的使用。
笔者根据使用者身份的不同给出一些建议如下:
1 初学者自己购买FPGA开发板学习: 因为是自己用,而且一般对价格有一定的接受限度。此类用户不建议购买原厂及国外厂家的开发板,因为价格相对昂贵,最便宜的也要1500往上,但也不可盲目的购买国内的非常便宜的开发板,原因很简单便宜没好货,为了降低正本,质量不一定有保证,跟不可能有技术支持和学习指导。一般自己用都买一块,且主要是为了学习,所以选择国内的相对有实力的公司会好一些。这些公司的产品有保障,而且也不贵。而且自有一定的技术实力,尽量选择可以提供学习资料和技术支持的公司。这样你购买的就不单是一个板子会加速自己的学习。
2 研究所做项目:一般是为了缩短项目周期,而且项目用到的板子一般比较复杂,此时原厂和国外的开发板当首先考虑。因为国内的公司一般不倾向于作特别高端的板子,即使有也可能价格昂贵,国外的在高端的价格比较适中。
3 学校建实验室: 实验室如果是给本科平时的教学用:那么可能需要的数量比较大,而且要和教学相结合,此时往往需要板子的接口比较多,但是主芯片也不能太高端,国外的板子一般接口多的主芯片势必很大,价格很昂贵,而且板子作的很复杂并不一定适合初学者。此时国内一些公司做的比较好,他们一是丰富板子的接口以适应国内的教学计划,而且在主芯片选择上,选择那种适合初学者的芯片,在成本上也比较理想,而且此类公司一般都负责软件的升级还提供很多的参考实验以方便学生的学习,所以这些板子很适合教学。

相关帖子

沙发
Backkom80| | 2013-1-5 22:43 | 只看该作者
不建意初学初盲目购买开发板,

使用特权

评论回复
板凳
GoldSunMonkey| | 2013-1-5 23:11 | 只看该作者
安富利的开发板LX9不错啊

使用特权

评论回复
地板
jlass| | 2013-1-6 09:41 | 只看该作者
猴哥在打广告了

使用特权

评论回复
5
王紫豪| | 2013-1-6 12:02 | 只看该作者
支持下

使用特权

评论回复
6
GoldSunMonkey| | 2013-1-6 12:12 | 只看该作者
jlass 发表于 2013-1-6 09:41
猴哥在打广告了

必须的啊

使用特权

评论回复
7
qin552011373| | 2013-1-6 12:50 | 只看该作者
哈哈  有板子子的同学表示毫无压力  

使用特权

评论回复
8
gaochy1126| | 2013-1-6 13:36 | 只看该作者
买板子 找猴哥

使用特权

评论回复
9
gaochy1126| | 2013-1-6 13:36 | 只看该作者
买板子 找猴哥

使用特权

评论回复
10
明月小厨| | 2013-1-6 20:38 | 只看该作者
电子工程师也分档次的吧,并非什么电子工程师都一定要搞这些的;
初级工程师就不搞这个,搞简单的CLPD;

使用特权

评论回复
11
lark100| | 2013-1-6 22:08 | 只看该作者
如何玩转开发板是个问题

使用特权

评论回复
12
GoldSunMonkey| | 2013-1-6 23:36 | 只看该作者
gaochy1126 发表于 2013-1-6 13:36
买板子 找猴哥

必须的。刚刚的

使用特权

评论回复
13
GoldSunMonkey| | 2013-1-6 23:36 | 只看该作者
明月小厨 发表于 2013-1-6 20:38
电子工程师也分档次的吧,并非什么电子工程师都一定要搞这些的;
初级工程师就不搞这个,搞简单的CLPD;
...

没人搞CPLD了,不会是主流了

使用特权

评论回复
14
GoldSunMonkey| | 2013-1-6 23:36 | 只看该作者
lark100 发表于 2013-1-6 22:08
如何玩转开发板是个问题

哥,可以教啊

使用特权

评论回复
15
明月小厨| | 2013-1-7 00:50 | 只看该作者
本帖最后由 明月小厨 于 2013-1-7 00:51 编辑
GoldSunMonkey 发表于 2013-1-6 23:36
没人搞CPLD了,不会是主流了


:(
我在用这个,主要是替代一系列的74系列IC;可以产生非常标准的严格的时序控制;而且超级稳定;从不跑乱跑飞;比任何单片机都可靠;
原先是用74做的,很多IC,做成一块板;现在只有一片了,清爽.
不过具体怎么弄我已经差不多忘记了;
最先是用原理图的方式;
后来改用原理图做为顶,下面的细节用程序写;然后在SCH部分总装;
因为搞起来的时候不难,没费什么劲,所以时间一长印象也就没有了;:(
下次如果要搞还得重零开始复习;只是自己知道要复习什么,估计一天二天左右就能上机了;

还有一个地方我也用过它;很近的地方有强干扰,用单片机搞不放心,就用了它;效果还行,但上电时必须锁死2秒钟以躲避上电期间强烈的干扰;

使用特权

评论回复
16
明月小厨| | 2013-1-7 00:54 | 只看该作者
明月小厨 发表于 2013-1-7 00:50
我在用这个,主要是替代一系列的74系列IC;可以产生非常标准的严格的时序控制;而且超级稳定;从不跑乱跑 ...

CPLD便宜而且可靠;:(

使用特权

评论回复
17
GoldSunMonkey| | 2013-1-7 15:00 | 只看该作者
明月小厨 发表于 2013-1-7 00:54
CPLD便宜而且可靠;

哈哈,如果如此用就CPLD吧

使用特权

评论回复
18
gaochy1126| | 2013-1-7 22:41 | 只看该作者
GoldSunMonkey 发表于 2013-1-6 23:36
必须的。刚刚的

猴哥给打折 肯定也得是刚刚的

使用特权

评论回复
19
gaochy1126| | 2013-1-7 22:42 | 只看该作者
lark100 发表于 2013-1-6 22:08
如何玩转开发板是个问题

猴哥都已经保证 手把手教了  玩转就很轻松了

使用特权

评论回复
20
zhangxu985| | 2013-1-8 08:34 | 只看该作者
学习了!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

153

主题

401

帖子

1

粉丝