打印

FPGA和LVDS的问题

[复制链接]
2788|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
yangzhaofei1|  楼主 | 2013-1-10 15:54 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
想请教大家一下关于FPGA和LVDS的问题
现在看见很多AD转换器都是LVDS信号输出,我想用FPGA开发板模拟一下LVDS信号再接收LVDS信号,主要是想学习一下怎么读取LVDS信号。
我知道FPGA的IO口有LVDS信号这个功能,如果想要实现上述功能应该怎么做呢?假设把8位的0、1数据并串转化然后输出,输出的端口设置成LVDS模式,把输出的两个管脚连接到FPGA的另外两个管脚作为输入端,也是设置成LVDS模式,然后解串LVDS信号,把信号最终通过LED灯看是否是发送的0、1代码。这样设计可以么?

相关帖子

沙发
jack1991| | 2013-1-10 16:16 | 只看该作者
低压差分信号LVDS (Low Voltage Differential Signa1)是由ANSI/TIA/EIA-644—1995定义的用于高速数据传输的物理层接口标准。它具有超高速(1.4 Gb/s)、低功耗及低电磁辐射的特性, 是在铜介质上实现千兆位级高速通信的优选方案;

链接:http://www.365ele.com/articles/2013/01/fpga%e4%b8%8elvds/

使用特权

评论回复
板凳
yangzhaofei1|  楼主 | 2013-1-10 20:19 | 只看该作者
jack1991 发表于 2013-1-10 16:16
低压差分信号LVDS (Low Voltage Differential Signa1)是由ANSI/TIA/EIA-644—1995定义的用于高速数据传输 ...

我用的是黑金开发板,主要是想实现LVDS的发送和接收这两个功能,能具体的说下么

使用特权

评论回复
地板
yangchengin| | 2013-1-29 10:44 | 只看该作者
路过帮顶

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

15

帖子

0

粉丝