打印

请问有没有把脉冲信号转换成电信号的专用芯片啊?

[复制链接]
2011|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
shmihy|  楼主 | 2007-6-19 10:38 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
ayb_ice| | 2007-6-19 10:44 | 只看该作者

F/V变换,ADI公司有。。。

使用特权

评论回复
板凳
cyh| | 2007-6-19 11:32 | 只看该作者

脉冲信号不是电信号啊?

使用特权

评论回复
地板
maychang| | 2007-6-19 11:39 | 只看该作者

楼上:脉冲信号也说不定不是电信号

声音也可能有脉冲,是不是?
不过,那就更不知道楼主左说什么了。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

13

主题

21

帖子

1

粉丝