打印

VGA。。。"negedge rst_n"有无必要?

[复制链接]
4456|14
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
本帖最后由 bitshiyan 于 2013-1-22 18:52 编辑

VGA程序

module sync_gen_50m(
   rst_n,
   clk,
   hsync,
   vsync,
   valid,
   x_cnt,
   y_cnt
   );
input rst_n ;
input clk ;
output hsync ;
output vsync ;
output valid ;
output [9:0] x_cnt ;
output [9:0] y_cnt ;
reg hsync ;
reg vsync ;
reg valid ;
reg [9:0] x_cnt ;
reg [9:0] y_cnt ;
always @ ( posedge clk or negedge rst_n )
  if ( !rst_n )
    x_cnt <= 10'd0;
  else if ( x_cnt == 10'd1000 )
    x_cnt <= 10'd0;
  else
  x_cnt <= x_cnt + 1'b1;
always @ ( posedge clk or negedge rst_n )
  if ( !rst_n )
    y_cnt <= 10'd0;
  else if ( y_cnt == 10'd665 )
    y_cnt <= 10'd0;
  else if ( x_cnt == 10'd1000 )
    y_cnt <= y_cnt + 1'b1;
always @ ( posedge clk or negedge rst_n )
   if ( !rst_n )
   begin
     hsync <= 1'b0;
     vsync <= 1'b0;
  end
   else
   begin
    hsync <= x_cnt <= 10'd50;
    vsync <= y_cnt <= 10'd6;
   end
always @ ( posedge clk or negedge rst_n )
   if ( !rst_n )
     valid <= 1'b0;
   else
     valid<= ( ( x_cnt > 10'd180 ) && ( x_cnt < 10'd980) &&
       ( y_cnt > 10'd35) && ( y_cnt < 10'd635) );
endmodule


在这个程序中,,如果test文件中 initial设定 rst_n=1;
是不是negedge rst_n就可以删掉了??
if(!rst_n)...是不是保留else语句就可以了?为什么在y_cnt=7,x_cnt=0的时候,vsync仍然为1?不应该在1000以后就反转吗???是由于test文件中#10000clk=~clk的缘故??
求解
初学fpga,,,如果表达不对,,请指导
另外,仿真效果如图,



相关帖子

沙发
Backkom80| | 2013-1-22 08:00 | 只看该作者
搞清复位的意义。

使用特权

评论回复
板凳
zj_456| | 2013-1-22 15:34 | 只看该作者
那请问复位信号到底是沿触发还是电平触发呢?

使用特权

评论回复
地板
bitshiyan|  楼主 | 2013-1-22 18:49 | 只看该作者
kaiseradler 发表于 2013-1-22 09:00
不是你仿真设置为1,就代表全部!复位是外部给的,外界需要复位,这个当然是有必要的! ...

哦,谢谢,受教受教。。。。

使用特权

评论回复
5
GoldSunMonkey| | 2013-1-22 22:22 | 只看该作者
大家讨论的很热烈啊

使用特权

评论回复
6
neufeifatonju| | 2013-1-23 22:03 | 只看该作者
凑热闹

使用特权

评论回复
7
strouledfox| | 2013-1-31 22:02 | 只看该作者
复位什么意思你要搞清楚

使用特权

评论回复
8
huofeijingfe| | 2013-1-31 22:07 | 只看该作者

使用特权

评论回复
9
mucanhin| | 2013-1-31 22:13 | 只看该作者
分情况吧

使用特权

评论回复
10
zhoumingzr| | 2013-1-31 22:21 | 只看该作者
:D

使用特权

评论回复
11
kunhuangon| | 2013-1-31 22:25 | 只看该作者
:(貌似没有

使用特权

评论回复
12
zrsing| | 2013-1-31 22:30 | 只看该作者
:)

使用特权

评论回复
13
gibenlin| | 2013-1-31 22:34 | 只看该作者
跟楼上学了很多啊

使用特权

评论回复
14
loansat| | 2013-1-31 22:39 | 只看该作者

使用特权

评论回复
15
51xlf| | 2013-2-1 13:28 | 只看该作者
加上rst 显得很规范的

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

13

主题

96

帖子

1

粉丝