打印

Verilog应用全攻略

[复制链接]
1359|9
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
wmsk|  楼主 | 2013-1-22 12:02 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
夏宇闻Verilog经典教程电子书.pdf (1.73 MB)

硬件描述语言(HDL)是一种用形式化方法来描述数字电路和设计数字逻辑系统的语言。它可以使数字逻辑电路设计者利用这种语言来描述自己的设计思想,然后利用电子设计自动化(在下面简称为EDA)工具进行仿真,再自动综合到门级电路,再用ASIC或FPGA实现其功能。目前,这种称之为高层次设计(High-Level-Design)的方法已被广泛采用。据统计,在美国硅谷目前约有90%以上的ASIC和FPGA已采用硬件描述语言方法进行设计。

硬件描述语言的发展至今已有二十多年的历史,并成功地应用于设计的各个阶段:仿真、验证、综合等。到80年代时,已出现了上百种硬件描述语言,它们对设计自动化起到了极大的促进和推动作用。但是,这些语言一般各自面向特定的设计领域与层次,而且众多的语言使用户无所适从,因此急需一种面向设计的多领域、多层次、并得到普遍认同的标准硬件描述语言。进入80年代后期,硬件描述语言向着标准化的方向发展。

最终,VHDL和Verilog HDL语言适应了这种趋势的要求,先后成为IEEE标准。把硬件描述语言用于自动综合还只有短短的六、七年历史。最近三四年来,用综合工具把可综合风格的HDL模块自动转换为电路发展非常迅速,在美国已成为设计数字电路的主流。本书主要介绍如何来编写可综合风格的Verilog HDL模块,如何借助于Verilog语言对所设计的复杂电路进行全面可靠的测试。

相关帖子

沙发
jlass| | 2013-1-22 14:26 | 只看该作者
下来看看

使用特权

评论回复
板凳
GoldSunMonkey| | 2013-1-22 21:56 | 只看该作者
嗯,看看。是那本教材

使用特权

评论回复
地板
wmsk|  楼主 | 2013-1-23 22:22 | 只看该作者
GoldSunMonkey 发表于 2013-1-22 21:56
嗯,看看。是那本教材

不好么??

使用特权

评论回复
5
菜鸟003| | 2013-2-21 13:30 | 只看该作者

使用特权

评论回复
6
菜鸟003| | 2013-2-24 16:37 | 只看该作者

使用特权

评论回复
7
jahnson066| | 2013-2-25 10:04 | 只看该作者
在国内fpga领域 夏宇闻就是标杆性人物,就像谭浩强在C编程中

使用特权

评论回复
8
qchmkykl| | 2013-4-16 17:02 | 只看该作者
严重支持

使用特权

评论回复
9
ifpga| | 2013-4-16 17:19 | 只看该作者
这本书其实写得不是很好

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

29

主题

411

帖子

1

粉丝