打印

数据输入不能 赋值

[复制链接]
1549|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
weishukongji|  楼主 | 2013-2-21 19:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
se, Pulse, TE, ni, AN
PROCESS(pulse_temp,pulse,clk,dat,key)
BEGIN
IF(clk'event and clk='1') THEN
    IF(pulse_temp='1' AND pulse='0') THEN
        flag='1';
    END IF;
case key IS
    when "000" =>  IF(flag='1')  THEN
                              temp2<=dat;
                               flag2='1';
                            END IF;   
                           IF(flag2='1') THEN
                                sgnout1<='1';
                                IF(cnt=temp2) THEN
                                   sgnout1<='0';
                                  cnt="0000000000" ;
                                  flag2<='0';
                                   flag<='0';
                                ELSE
                                     cnt<=cnt+'1';
                               END IF;
                           END IF;

相关帖子

沙发
weishukongji|  楼主 | 2013-2-21 19:18 | 只看该作者
上面的程序中  IF(cnt=temp2) THEN 发现dat的值并没有赋给temp2  为什么啊 谁能给分析一下?

使用特权

评论回复
板凳
ywert000| | 2013-2-21 19:28 | 只看该作者
IF(cnt=temp2) THEN

和 dat的值并没有赋给temp2  之间没有联系。

再仔细看看程序吧

使用特权

评论回复
地板
hawksabre| | 2013-2-21 20:30 | 只看该作者
应该问题解决了吧   呵呵   顶一个  呵呵1

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

11

主题

141

帖子

1

粉丝