编了个例化的代码如下:
component hand_wave is
port(clk,rst,add0 : in std_logic;
a,b : buffer std_logic_vector(7 downto 0);
data_out1 : out std_logic_vector(11 downto 0));
end component;
begin
u1:hand_wave port map (clk=>clk,rst=>rst,add0=>add0,a=>one,b=>two,data_out1=>dout);
结果提示错误: Can't resolve multiple constant drivers for net "one[7]" at hand_wave_lcd.vhd(39)
Error (10029): Constant driver at hand_wave_lcd.vhd(79)
Error (10028): Can't resolve multiple constant drivers for net "one[6]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "one[5]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "one[4]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "one[3]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "one[2]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "one[1]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "one[0]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[7]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[6]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[5]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[4]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[3]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[2]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[1]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[0]" at hand_wave_lcd.vhd(39)
求解答! |