打印

关于VHDL例化的一点问题

[复制链接]
1477|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
醉小清风|  楼主 | 2013-2-22 15:34 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
VHDL, AN, ST, RS, LCD
编了个例化的代码如下:
component hand_wave is
port(clk,rst,add0 : in std_logic;
     a,b  :   buffer std_logic_vector(7 downto 0);
     data_out1     : out std_logic_vector(11 downto 0));
end component;

begin
u1:hand_wave port map (clk=>clk,rst=>rst,add0=>add0,a=>one,b=>two,data_out1=>dout);
结果提示错误: Can't resolve multiple constant drivers for net "one[7]" at hand_wave_lcd.vhd(39)
Error (10029): Constant driver at hand_wave_lcd.vhd(79)
Error (10028): Can't resolve multiple constant drivers for net "one[6]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "one[5]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "one[4]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "one[3]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "one[2]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "one[1]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "one[0]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[7]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[6]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[5]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[4]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[3]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[2]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[1]" at hand_wave_lcd.vhd(39)
Error (10028): Can't resolve multiple constant drivers for net "two[0]" at hand_wave_lcd.vhd(39)

求解答!

相关帖子

沙发
ococ| | 2013-2-22 15:40 | 只看该作者
你模块端口a,b是buffer类型。
不知道你连接的one,two是定义的什么类型。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

20

主题

70

帖子

0

粉丝