打印

新人请教verilog HDL的延时问题。

[复制链接]
2580|17
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
pangning7085|  楼主 | 2013-3-21 10:05 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
ifpga| | 2013-3-21 10:09 | 只看该作者
计数器啊,
50M, 一个周就是20ns
1ms = 50,000个周期

使用特权

评论回复
板凳
Backkom80| | 2013-3-21 10:38 | 只看该作者

使用特权

评论回复
地板
pangning7085|  楼主 | 2013-3-21 10:44 | 只看该作者
ifpga 发表于 2013-3-21 10:09
计数器啊,
50M, 一个周就是20ns
1ms = 50,000个周期

像单片机有机器周期一说。例如51系列1个机器周期对应12个时钟周期,如果时钟是24MHz的话,延时1微秒就需要两条汇编语言。verilog HDL需不需要类似的计算呢?

使用特权

评论回复
5
ifpga| | 2013-3-21 10:47 | 只看该作者
这是肯定需要计算的啊

使用特权

评论回复
6
pangning7085|  楼主 | 2013-3-21 10:56 | 只看该作者
ifpga 发表于 2013-3-21 10:47
这是肯定需要计算的啊

大神,原谅小弟不太懂。。verilog HDL一条指令对应多少机器周期呢?如果你能贴一段程序上来就好了。[

使用特权

评论回复
7
pangning7085|  楼主 | 2013-3-21 10:57 | 只看该作者
ifpga 发表于 2013-3-21 10:47
这是肯定需要计算的啊

大神,原谅小弟不太懂……那怎么知道verilog HDL一条语句对应多少机器周期呢?

使用特权

评论回复
8
pangning7085|  楼主 | 2013-3-21 10:58 | 只看该作者
pangning7085 发表于 2013-3-21 10:57
大神,原谅小弟不太懂……那怎么知道verilog HDL一条语句对应多少机器周期呢? ...

不知您有没有相应的程序可以贴来看一下呢?

使用特权

评论回复
9
ifpga| | 2013-3-21 11:06 | 只看该作者
兄弟,你是真的没用过 Verilog 啊
建议你找本书看一下
不是给你贴断代码就能解决问题的

使用特权

评论回复
评论
pangning7085 2013-3-21 11:18 回复TA
确实,刚刚开始用。谢谢您~ 
10
GoldSunMonkey| | 2013-3-21 21:34 | 只看该作者
ifpga 发表于 2013-3-21 11:06
兄弟,你是真的没用过 Verilog 啊
建议你找本书看一下
不是给你贴断代码就能解决问题的 ...

是呀,还是停留在C语言的海洋中

使用特权

评论回复
11
jlass| | 2013-3-22 08:38 | 只看该作者
pangning7085 发表于 2013-3-21 10:57
大神,原谅小弟不太懂……那怎么知道verilog HDL一条语句对应多少机器周期呢? ...

不是计算一条VHDL语句的时间,而是对外部晶振进行采样

比如使用20M的晶振,一个时钟周期就是1/20M。

使用特权

评论回复
12
Backkom80| | 2013-3-22 09:12 | 只看该作者
呵呵,

使用特权

评论回复
13
cuianbin| | 2013-3-22 09:46 | 只看该作者
还在用单片机的思想搞!

使用特权

评论回复
14
GoldSunMonkey| | 2013-3-23 22:37 | 只看该作者
cuianbin 发表于 2013-3-22 09:46
还在用单片机的思想搞!

是呀是呀

使用特权

评论回复
15
lxAPP| | 2013-3-23 23:09 | 只看该作者
单片机的思想不能用在FPGA上啊

使用特权

评论回复
16
qin552011373| | 2013-3-24 00:17 | 只看该作者
哈哈哈  自己动手  丰衣足食

使用特权

评论回复
17
utopiaworld| | 2013-3-24 13:28 | 只看该作者
整个计数器

使用特权

评论回复
18
GoldSunMonkey| | 2013-3-24 21:52 | 只看该作者
utopiaworld 发表于 2013-3-24 13:28
整个计数器

只能是计数器了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

16

主题

59

帖子

0

粉丝