打印

谁用过Testbench进行过仿真,有点问题?

[复制链接]
1477|16
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
jlgcumt|  楼主 | 2013-3-30 22:07 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式
沙发
jlgcumt|  楼主 | 2013-3-30 22:07 | 只看该作者
`timescale 1ns/1ps
module ADTest();
  
  reg clk,rst;
  wire [15:0] ARM9200_Data;
  reg Data_En;
  reg [15:0] ARM9200_Data_r;
  reg [15:0] ARM9200_Addr;
  reg ARM_WR,ARM_RD;
  reg ARM_nCS2,ARM_nCS6;
  wire [1:0] sw;

assign sw[1:0] = 0;
assign ARM9200_Data = Data_En ? ARM9200_Data_r : 16'hzzzz;
initial
begin
  
  clk = 0;
  rst = 0;
  #10
  rst = 1;   
end

initial
begin
ARM_nCS2 = 1;
ARM_WR = 1;
ARM_RD =1;
Data_En = 0;
ARM_nCS6 = 1;

#100
ARM9200_Addr[15:0] =  16'b1011000000000010;
Data_En = 1;
ARM9200_Data_r = 1;
#100
ARM_nCS2 = 0;
ARM_WR = 0;
#100
ARM_nCS2 = 1;
ARM_WR = 1;
  
end

always
begin
  #10 clk = ~clk;
end
  
RDC_AD_V21  RDC_AD_V21_test(.sys_clk(clk),.rst_n(rst),.ARM9200_WR(RM_WR),.ARM9200_RD(ARM_RD),.ARM9200_nCS2(RM_nCS2),.ARM9200_nCS6(ARM_nCS6),.ARM9200_DATA(ARM9200_Data),
                          .ARM9200_ADDR(ARM9200_Addr[15:0]),.sw(sw),.ad_rst(),.ad_sclk(),.ad_miso_a(),.ad_miso_b(),.ad_busy(),.ad_cs_n(),.ad_convsta(),.da_rst(),.da_mosi(),.da_sclk(),.da_cs_n());  
  
  

使用特权

评论回复
板凳
jlgcumt|  楼主 | 2013-3-30 22:08 | 只看该作者
上面是我写的Testbench,第一次写,例化模块的时候有些输出信号没管,应该没问题吧,

使用特权

评论回复
地板
jlgcumt|  楼主 | 2013-3-30 22:11 | 只看该作者
仿真波形都是横杆,TestBench没起作用?

使用特权

评论回复
5
jlgcumt|  楼主 | 2013-3-30 22:28 | 只看该作者
本帖最后由 jlgcumt 于 2013-3-30 22:33 编辑

当我仿真 激励文件的时候,好像我写的TestBench里面的代码没执行!

使用特权

评论回复
6
jlgcumt|  楼主 | 2013-3-30 22:29 | 只看该作者
本帖最后由 jlgcumt 于 2013-3-30 22:35 编辑

使用特权

评论回复
7
jlgcumt|  楼主 | 2013-3-30 22:47 | 只看该作者
哈哈,波形终于出来!

使用特权

评论回复
8
GoldSunMonkey| | 2013-3-30 22:47 | 只看该作者
我已经懒了,不想看代码了

使用特权

评论回复
9
jlgcumt|  楼主 | 2013-3-30 22:53 | 只看该作者

使用特权

评论回复
10
jlgcumt|  楼主 | 2013-3-30 22:54 | 只看该作者
GoldSunMonkey 发表于 2013-3-30 22:47
我已经懒了,不想看代码了

你在版主里面算不懒的,哈哈!本人睡觉去了!

使用特权

评论回复
11
jlgcumt|  楼主 | 2013-3-31 12:01 | 只看该作者
结贴,问题搞定!

使用特权

评论回复
12
wzhnh2005| | 2013-3-31 13:11 | 只看该作者
路过!!!

使用特权

评论回复
13
斩空之星| | 2013-3-31 15:15 | 只看该作者
时间问题吧

使用特权

评论回复
14
bailingjie24| | 2013-3-31 16:12 | 只看该作者
时间问题吧

使用特权

评论回复
15
bailingjie24| | 2013-3-31 16:13 | 只看该作者

使用特权

评论回复
16
ifpga| | 2013-3-31 21:01 | 只看该作者

使用特权

评论回复
17
GoldSunMonkey| | 2013-3-31 23:56 | 只看该作者

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:善攻者,动于九天之上,善守者,藏于九地之下!

183

主题

733

帖子

4

粉丝