打印

VHDL中的乘法和除法

[复制链接]
4128|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
entepino|  楼主 | 2013-4-15 16:31 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
1、在VHDL中,有乘法运算和除法运算。既然有乘法运算和除法运算,那为何还有乘法器和除法器,直接用两个int型数据相乘或相除不就可以了吗,为何还有乘法器或除法器?另外,除法运算,运算的时间长有多长,希望大家指点一二,谢谢!

相关帖子

沙发
Backkom80| | 2013-4-15 17:37 | 只看该作者
乘法和除法最好是调用IP完成,
直接在语言中描述,最好还是调用底层器件完成的,且直接写的话性能还没有保证。
这和FPGA结构相关,查找相应的FPGA结构方面的资料会有所悟。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

203

主题

629

帖子

1

粉丝