打印

小白的问题,关于一个TEST BENCH

[复制链接]
1167|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
lonerwolf|  楼主 | 2013-4-21 13:16 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
`timescale 1ns / 1ps
//`timescale 1us/10ns
module led_testbench;

        // Inputs
        reg CLK1;

        // Outputs
        wire [3:0] LED;
        parameter PERIOD = 100;

        // Instantiate the Unit Under Test (UUT)
        led_test uut (
                .LED(LED),
                .CLK1(CLK1)
        );

        initial begin
                // Initialize Inputs
                CLK1 = 0;

                // Wait 100 ns for global reset to finish
                #100;
        
                // Add stimulus here
                forever #PERIOD CLK1 = ~CLK1;

        end
       
/*        always [url=home.php?mod=space&uid=72445]@[/url] (*)
                begin
                        #PERIOD CLK1 = ~CLK1;
                end*/
       
      
endmodule
为什么我仿真的时候CLK1就是没变化,但是确是1呢?

相关帖子

沙发
lonerwolf|  楼主 | 2013-4-21 13:59 | 只看该作者
原来是这样,时间尺度放大后看到了

使用特权

评论回复
板凳
ifpga| | 2013-4-21 14:18 | 只看该作者

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

6

主题

63

帖子

1

粉丝