打印

按键怎么产生一个脉冲

[复制链接]
1593|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
wangxueq|  楼主 | 2013-4-22 23:25 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
changan1216| | 2013-4-23 10:09 | 只看该作者
如果用同步逻辑,设置两个信号,signal和signal_reg,每个时钟都把signal信号传递给signal_reg,想对signal上升沿采样为脉冲flag信号,则当signal为'1'且signal_reg为'0'时拉高flag,一个时钟后拉低flag,flag即为一个时钟脉冲信号,想改变flag宽度,设置计数器,flag拉高后一定时间后拉低flag即可,双沿变化都产生脉冲,同理

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

28

主题

118

帖子

2

粉丝