打印

[求助]为什么仿真时没有波形图

[复制链接]
1140|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
nm2012|  楼主 | 2013-4-25 21:29 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
modelsim10.1c,就是一个简单的比较器,按照教程步骤来,测试文件也写好,都编译通过了,但仿真时就是没波形图,连信号都没有,为什么呢
(vish-4014) No objects found matching '/*'.

相关帖子

沙发
nm2012|  楼主 | 2013-4-25 21:32 | 只看该作者
我知道为什么了,原来优化掉了,太坑了,感谢kdurant啊:lol

使用特权

评论回复
板凳
Backkom80| | 2013-4-26 07:58 | 只看该作者
:)

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

48

主题

212

帖子

0

粉丝