打印

===21IC资源共享版面资料汇总主贴(一级)===

[复制链接]
5068|37
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
GoldSunMonkey|  楼主 | 2013-5-15 11:03 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 GoldSunMonkey 于 2013-5-16 23:15 编辑

                                                       ====PCB技术门类=====

altium designer 常用元器件库
简介:一些常用元器件
                                                       ===硬件描述语言门类===
VHDL语言介绍与设计
简介:
VHDL语言的英文全名为VeryHigh Speed Integrated Circuit Hardware Description Language,
即超高速集成电路硬件描述语言。 这是一些语法知识,供大家学习使用。

XILINX UART设计源码和说明文档
简介: XILINX UART 源码,不错的资料
                                                       ====软件开发语言=====
C++ primer plus
简介:
C++ primer plus ,这个比较系统翔实,而且具有很多实例以及习题能够构建成就感并因此激发兴趣。
                                                       ====电源技术=====
梁适安的转换式电源供给器的原理与设计
简介:关于转换式电源供给器的原理介绍,以及实际中的应用设计
                                                       ====操作系统相关====
uCos-III 的中文资料
简介:uCos-III 的中文资料
VMware-workstation-full-7.1.2及汉化包
简介:无                                                        
                                                        ====调试相关=====

DockLight——国外的串口助手,挺好用的。
简介:国外的串口助手,挺好用的。
                                                       =====仿真相关======
multisim11.0的安装
简介:资料在3楼。Multisim_11.0详细的安装 汉化 **全过程

Multisim+11.0加**及汉化补丁
简介:无
                                                       =====单片机相关======
ATmega8中文技术手册
简介:无


相关帖子

沙发
dirtwillfly| | 2013-5-16 09:17 | 只看该作者
支持猴哥,支持21ic:lol

使用特权

评论回复
板凳
chen_ci12| | 2013-5-16 18:16 | 只看该作者
顶你

使用特权

评论回复
地板
wjsinian| | 2013-5-17 16:21 | 只看该作者
支持支持

使用特权

评论回复
5
| | 2013-5-30 08:53 | 只看该作者
希望有越来越多的资料分享给大家。

使用特权

评论回复
6
tee.| | 2013-6-7 11:28 | 只看该作者
非常不错啊。学FPGA找猴哥,哈哈

使用特权

评论回复
7
ZHANGJIEWEI| | 2013-6-7 20:06 | 只看该作者

非常不错啊。学FPGA找猴哥,哈哈

使用特权

评论回复
8
在路上的旁观者| | 2013-6-16 15:01 | 只看该作者

使用特权

评论回复
9
today698| | 2013-7-15 22:45 | 只看该作者
支持支持

使用特权

评论回复
10
qin552011373| | 2013-7-23 20:02 | 只看该作者
支持猴哥  哪天闲了我来这里盖楼

使用特权

评论回复
11
wang5658yuying| | 2013-7-24 23:00 | 只看该作者
支持

使用特权

评论回复
12
kingso1219| | 2013-7-30 13:23 | 只看该作者
支持楼主

使用特权

评论回复
13
祎52111| | 2013-8-10 22:31 | 只看该作者
顶呀顶   

使用特权

评论回复
14
Benefit-finder| | 2013-8-14 17:08 | 只看该作者
顶一个

使用特权

评论回复
15
hotwindman| | 2013-8-15 09:42 | 只看该作者
顶楼主

使用特权

评论回复
16
ygl968| | 2013-8-15 10:08 | 只看该作者
收藏

使用特权

评论回复
17
husheng940122| | 2013-8-15 20:41 | 只看该作者
赞一个

使用特权

评论回复
18
silicon_labs| | 2013-8-30 11:25 | 只看该作者
楼主太慷慨大义了,谢谢了

使用特权

评论回复
19
nyfdjs| | 2013-8-30 17:57 | 只看该作者
顶一个
感谢楼主分享

使用特权

评论回复
20
kartik| | 2013-9-12 23:45 | 只看该作者
顶一个

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:                     2014, 追逐梦想

264

主题

17215

帖子

523

粉丝