打印

关于VHDL信号赋初值??

[复制链接]
13400|11
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
pangning7085|  楼主 | 2013-5-23 22:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
   根据小弟的了解,信号赋初值只有在仿真的时候有用,但是在综合下载的时候被自动被忽略。可是为什么我的课题中赋初值跟不赋初值不一样呢?
   SIGNAL flag:STD_LOGIC_VECTOR(2 DOWNTO 0):="000";  
   赋了初值就可以实现对应的功能,否则
   SIGNAL flag:STD_LOGIC_VECTOR(2 DOWNTO 0);  达不到想要的效果
   为什么呢??

相关帖子

沙发
ococ| | 2013-5-24 08:53 | 只看该作者
信号在综合完了以后是有一个固定值的,你在定义信号的时候没有给初始值综合器就会默认给一个。应该是默认给的不是你想要的。
你下面的代码应该没有使用复位信号吧,如果使用了复位信号,当复位的时候给信号一个初始值也是应该能正确运行的。

使用特权

评论回复
板凳
GoldSunMonkey| | 2013-5-24 21:27 | 只看该作者
ococ 发表于 2013-5-24 08:53
信号在综合完了以后是有一个固定值的,你在定义信号的时候没有给初始值综合器就会默认给一个。应该是默认给 ...

说的对。是这样的。要么直接复,要么就复位赋值

使用特权

评论回复
评分
参与人数 2威望 +6 收起 理由
qingniao966 + 3
FangTT + 3 很给力!
地板
FangTT| | 2013-5-26 22:52 | 只看该作者
GoldSunMonkey 发表于 2013-5-24 21:27
说的对。是这样的。要么直接复,要么就复位赋值

感谢啊

使用特权

评论回复
5
GoldSunMonkey| | 2013-5-26 23:18 | 只看该作者
FangTT 发表于 2013-5-26 22:52
感谢啊

明白了吧?

使用特权

评论回复
评分
参与人数 1威望 +3 收起 理由
xuehua230 + 3
6
qin552011373| | 2013-5-27 22:20 | 只看该作者
学习了

使用特权

评论回复
7
GoldSunMonkey| | 2013-5-27 23:51 | 只看该作者
qin552011373 发表于 2013-5-27 22:20
学习了

欢迎啊

使用特权

评论回复
评分
参与人数 1威望 +3 收起 理由
xuehua230 + 3
8
xuehua230| | 2013-5-28 23:14 | 只看该作者
不错啊

使用特权

评论回复
9
iawtfletg| | 2013-5-29 12:05 | 只看该作者
我以前也发现了,书上说只是仿真的时候有用,但实现还有会有影响,建议还是用复位来赋值吧,现在几乎哪块MCU都有个复位脚,估计厂家就是这么设计的吧。

使用特权

评论回复
评论
pangning7085 2013-5-29 16:10 回复TA
谢谢~~ 
10
GoldSunMonkey| | 2013-5-29 23:53 | 只看该作者
iawtfletg 发表于 2013-5-29 12:05
我以前也发现了,书上说只是仿真的时候有用,但实现还有会有影响,建议还是用复位来赋值吧,现在几乎哪块MC ...

我没份,我哭了

使用特权

评论回复
11
GoldSunMonkey| | 2013-5-29 23:53 | 只看该作者

使用特权

评论回复
评分
参与人数 2威望 +6 收起 理由
qingniao966 + 3
pangning7085 + 3 斑斑不要伤心,是我忽略了。果断补上,谢谢.
12
qingniao966| | 2013-5-30 20:47 | 只看该作者
GoldSunMonkey 发表于 2013-5-29 23:53

猴哥啊,大家都是爱你的

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

16

主题

59

帖子

0

粉丝