打印

include用法问题

[复制链接]
980|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
gxgclg|  楼主 | 2013-5-28 22:21 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
无冕之王| | 2013-5-28 22:54 | 只看该作者
quartus如果硬件把文件包含进project文件夹里,不需要再include

使用特权

评论回复
板凳
baidudz| | 2013-5-28 23:01 | 只看该作者
`include ""
如果是module,不需要使用include,这与C不同,但模块名必须和文件名一样。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

177

主题

1653

帖子

1

粉丝