打印

关于VHDL或Verllog程序稳定性的问题

[复制链接]
1732|10
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
pangning7085|  楼主 | 2013-6-12 11:32 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
mr.king| | 2013-6-12 12:51 | 只看该作者
看过一个说法,时序是设计出来的,不是仿真出来的

使用特权

评论回复
板凳
flypoppy| | 2013-6-12 17:47 | 只看该作者
首先原理要正确,还能保证设计的完整。

使用特权

评论回复
地板
pangning7085|  楼主 | 2013-6-12 19:30 | 只看该作者
mr.king 发表于 2013-6-12 12:51
看过一个说法,时序是设计出来的,不是仿真出来的

这种说法是很经典啊,但我能力达不到啊,自己想出来的东西写不一定能用。所以还是需要仿真来直观地验证。

使用特权

评论回复
5
pangning7085|  楼主 | 2013-6-12 19:31 | 只看该作者
本帖最后由 pangning7085 于 2013-6-12 19:36 编辑
flypoppy 发表于 2013-6-12 17:47
首先原理要正确,还能保证设计的完整。

这是我在努力的方向,但是现在我自认为比较好了,但是结果时好时坏,所以想靠仿真来看一下到底是哪里出了问题。

使用特权

评论回复
6
GoldSunMonkey| | 2013-6-12 23:50 | 只看该作者
可能,先仿一下也可以,最终还是要从设计上找到原因

使用特权

评论回复
7
jlass| | 2013-6-13 09:02 | 只看该作者
应该还是你的程序写的有问题,建议仿真一下,有助于看出问题所在。

使用特权

评论回复
8
juliuszwj| | 2013-6-13 10:18 | 只看该作者
估计你程序有问题啊。

使用特权

评论回复
9
pangning7085|  楼主 | 2013-6-13 10:22 | 只看该作者
GoldSunMonkey 发表于 2013-6-12 23:50
可能,先仿一下也可以,最终还是要从设计上找到原因

谢谢猴哥帮助。仿真的话一般用什么软件呢?

使用特权

评论回复
10
pangning7085|  楼主 | 2013-6-13 10:29 | 只看该作者
jlass 发表于 2013-6-13 09:02
应该还是你的程序写的有问题,建议仿真一下,有助于看出问题所在。

谢谢您。因为之前只用过quartusii的波形文件仿真,但是感觉不太好用,请问您可以推荐一下好用的仿真软件吗?

使用特权

评论回复
11
pangning7085|  楼主 | 2013-6-13 10:30 | 只看该作者
juliuszwj 发表于 2013-6-13 10:18
估计你程序有问题啊。

我也觉得,所以要仿真来看一下

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

16

主题

59

帖子

0

粉丝