打印

vhdl简单问题求解

[复制链接]
1100|14
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
damoyeren|  楼主 | 2013-6-26 17:49 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
huangxz| | 2013-6-26 17:53 | 只看该作者
有多简单啊;P

使用特权

评论回复
板凳
damoyeren|  楼主 | 2013-6-26 17:54 | 只看该作者
在看别人程序时突然冒出一句 temp:=conv_integer(addr); 其中temp和addr都是前期定义的一些信号,突然出现个conv-interger,我真不会了?

使用特权

评论回复
地板
huangxz| | 2013-6-26 17:59 | 只看该作者
damoyeren 发表于 2013-6-26 17:54
在看别人程序时突然冒出一句 temp:=conv_integer(addr); 其中temp和addr都是前期定义的一些信号,突然出现 ...

其实就是表达方式改一下而已。

使用特权

评论回复
5
GoldSunMonkey| | 2013-6-26 23:02 | 只看该作者
damoyeren 发表于 2013-6-26 17:54
在看别人程序时突然冒出一句 temp:=conv_integer(addr); 其中temp和addr都是前期定义的一些信号,突然出现 ...

数据类型转换

使用特权

评论回复
6
GoldSunMonkey| | 2013-6-26 23:03 | 只看该作者
没有其他意义

使用特权

评论回复
7
damoyeren|  楼主 | 2013-6-27 08:59 | 只看该作者
GoldSunMonkey 发表于 2013-6-26 23:03
没有其他意义

数据类型转换,应该是个关键字吧 但是他不是关键字啊

使用特权

评论回复
8
lzyzhh| | 2013-6-27 10:27 | 只看该作者
嘿嘿

使用特权

评论回复
9
ococ| | 2013-6-27 13:21 | 只看该作者
std_logic_arith库里面定义的数据类型转换函数。不是关键字。

使用特权

评论回复
10
damoyeren|  楼主 | 2013-6-27 14:32 | 只看该作者
ococ 发表于 2013-6-27 13:21
std_logic_arith库里面定义的数据类型转换函数。不是关键字。

怎么函数也冒出来了

使用特权

评论回复
11
liusensen| | 2013-6-27 14:45 | 只看该作者
为什么什么都没有 !

使用特权

评论回复
12
ococ| | 2013-6-27 17:11 | 只看该作者
damoyeren 发表于 2013-6-27 14:32
怎么函数也冒出来了

为什么VHDL就不能有函数呢?
X:\Xilinx\13.3\ISE_DS\ISE\vhdl\src\ieee下面的std_logic_arith.vhd里面定义了function CONV_INTEGER

使用特权

评论回复
13
GoldSunMonkey| | 2013-6-28 21:35 | 只看该作者
ococ 发表于 2013-6-27 17:11
为什么VHDL就不能有函数呢?
X:\Xilinx\13.3\ISE_DS\ISE\vhdl\src\ieee下面的std_logic_arith.vhd里面定 ...

我一分也没有

使用特权

评论回复
14
GoldSunMonkey| | 2013-6-28 21:35 | 只看该作者
我生气了

使用特权

评论回复
15
GoldSunMonkey| | 2013-6-28 21:36 | 只看该作者
damoyeren 发表于 2013-6-26 17:54
在看别人程序时突然冒出一句 temp:=conv_integer(addr); 其中temp和addr都是前期定义的一些信号,突然出现 ...

我第一个给出答案

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

126

主题

393

帖子

2

粉丝