打印

请教verilog测试向量的写法

[复制链接]
2264|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
limee|  楼主 | 2007-5-6 13:51 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
谁能够贴个testbench的例子啊?

//////////////////////////////////////////////////
//
// 4位全加器的verilog代码

module adder4( cout, sum, ina, inb, cin ) ;
   output[3:0] sum ;
   output cout ;
   input[3:0] ina, inb ;
   input cin ;
   assign { cout, sum } = ina + inb + cin ;
endmodule

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

301

主题

475

帖子

0

粉丝