打印

quartsII编译出错

[复制链接]
2199|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
hfyf4117|  楼主 | 2007-5-17 17:20 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
这是一块别人板子上用的一段代码
module MUX8x1(Z,Din,S);
  input[7:0] Din;
  output Z;
  reg z;
  input [2:0] S;
  wire S0bar,S1bar,S2bar;
  wire T0,T1,T2,T3,T4,T5,T6,T7;
  and (T0,Din[0],S2bar,S1bar,S0bar),
      (T1,Din[1],S2bar,S1bar,S[0]),
      (T2,Din[2],S2bar,S[1],S0bar),
      (T3,Din[3],S2bar,S[1],S[0]),
      (T4,Din[4],S[2],S1bar,S0bar),
      (T5,Din[5],S[2],S1bar,S[0]),
      (T6,Din[6],S[2],S[1],S0bar),
      (T7,Din[7],S[2],S[1],S[0]);
   not (S0bar,S[0]),
       (S1bar,S[1]),
       (S2bar,S[2]);
   or (Z,T0,T1,T2,T3,T4,T5,T6,T7);
endmodule
我用quartsII编译出现错误,错误如下:
Error (10663): Verilog HDL Port Connection error at mydisp.v(23): output or inout port "Z" must be connected to a structural net expression
但是用maxplusII就可以通过。
刚接触cpld,请大虾指点

相关帖子

沙发
yb840311| | 2007-5-18 11:18 | 只看该作者

答复

   reg z;改成大写试一下。

使用特权

评论回复
板凳
limee| | 2007-5-18 15:50 | 只看该作者

是大小写的问题吧

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

8

主题

31

帖子

0

粉丝