打印

ISE仿真出现错误,请帮忙看看,谢谢

[复制链接]
1621|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
wuw|  楼主 | 2007-5-18 23:42 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
ERROR:HDLParsers:3340 - Project file "qq_gen.prj" names two source files, D:/ise1/qq/qq.ant and D:/ise1/qq/qq.vhd, that both define the same primary unit, work/qq
Parsing "qq_gen.prj": 0.03
Simulator:176 - Unable to find any precompiled architecture for entity qq in the library work.
这两个问题怎么解决啊。程序我是用VHDL写例程

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

wuw

1

主题

4

帖子

2

粉丝