打印

MCU与FPGA并行通信的问题

[复制链接]
2363|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
LINUXJIANG|  楼主 | 2007-5-25 16:10 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
mybao| | 2007-5-26 11:01 | 只看该作者

给FPGA加一个复位信号

使用特权

评论回复
板凳
厉炜| | 2007-7-5 18:49 | 只看该作者

电路不明确

MCU只从FPGA读数据,只能把FPGA的I/O口设置为O口。MCU与FPGA输出都要加1K上拉,通迅中间加一个100欧姆电阻,再试试。

软件是不是在MCU复位后,I/O口全是低电平?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

4

主题

15

帖子

1

粉丝