打印

VHDL语言中 q <= (others => 0) 是什么意思

[复制链接]
5011|5
手机看帖
扫描二维码
随时随地手机跟帖
沙发
sunclever| | 2007-6-6 15:15 | 只看该作者

同意楼上观点

一次性按位赋值,全部位为零

使用特权

评论回复
板凳
zbo007mm| | 2007-7-9 20:16 | 只看该作者

谢谢

谢谢!

使用特权

评论回复
地板
qjy_dali| | 2007-7-14 20:04 | 只看该作者

很常用的写法,简洁明了

使用特权

评论回复
5
neil3w| | 2007-8-13 21:57 | 只看该作者

信号赋0

把左侧信号全赋0 

对于多位二进制信号比较方便
尤其在初始化时

使用特权

评论回复
6
dadong| | 2007-8-15 22:21 | 只看该作者

0应该加'号。

  

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

3

帖子

0

粉丝