打印

请教fpga与系统总线的接口

[复制链接]
1416|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
xjtucq|  楼主 | 2007-8-2 09:09 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
总线上有rd,wr,cs,addr,data 等信号。

想实现的功能是总线可以写一个寄存器,然后读出来。换句话说就是想测试一下fpga和总线连接后的读写通信正常否。

具体在做的时候,比如 wr信号的检测,
       1.是把wr放到dff的cp上好;
       2.还是用同步电路,综合一个dff获得wr0(比wr慢一个clk),然 后比较wr和wr0.
       小弟两种方法都试过了,两种都是可以正常使用的。一般来讲,做哪种正规一些?
另外,rd 信号怎么处理,是不是放到传输门的控制端最好?

谢谢!

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

1

帖子

0

粉丝