打印
[VHDL]

关于位数据的写法

[复制链接]
1141|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
醉小清风|  楼主 | 2013-7-30 17:24 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
auth1976| | 2013-7-30 23:47 | 只看该作者
s: in std_logic_vector(15 downto 0);
signal t: std_logicvector(15 dwonto 0);
signal p: integer range(0 to 65535);
......

使用特权

评论回复
板凳
GoldSunMonkey| | 2013-7-31 00:02 | 只看该作者
本帖最后由 GoldSunMonkey 于 2013-7-31 00:03 编辑

数字整数:整数都是十进制的数,如:5, 678, 0, 156E2(=15600), 45_234_287 (=45234287)
实数:实数也都是十进制的数,但必须带有小数点,如:
1.335, 88_670_551.453_909(=88670551.453909),1.0,44.99E-2(=0.4499)
以数制基数表示的文字:用这种方式表示的数由五个部分组成。
十进制表示的数制进位的基数#表达的数#十进制表示的指数

字符串(1)文字字符串"ERROR" , "Both S and Q equal to 1" , "X" , "BB$CC"
(2)数位字符串
B:二进制基数符号,表示二进制位0或1,在字符串中的每位表示一个Bit。
O:八进制基数符号,在字符串中的每一个数代表一个八进制数,即代表一个3位(BIT)的二进制数。
标识符规则:
· 有效的字符:包括26个大小写英文字母,数字包括0~9 以及下划线“_”。
·任何标识符必须以英文字母开头。
·必须是单一下划线“_”,且其前后都必须有英文字母或数字。
·标识符中的英语字母不分大小写。
·允许包含图形符号(如回车符、换行符等),也允许包含空格符。

下标名格式: 标识符(表达式)下例的两个下标名中一个是m,属不可计算,另一个是3,属可计算的。

使用特权

评论回复
评分
参与人数 1威望 +1 收起 理由
醉小清风 + 1
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

20

主题

70

帖子

0

粉丝