打印
[FPGA]

延时

[复制链接]
1595|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
胡蒙云|  楼主 | 2013-8-4 22:31 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
Backkom80| | 2013-8-5 07:59 | 只看该作者
用时钟节拍控制

使用特权

评论回复
板凳
chenkui456| | 2013-8-6 11:49 | 只看该作者


input clk;
reg led;
reg[39:0] cnt;
always @(posedge clk)
begin
        cnt<=cnt+1;
        if(cnt=='h1ffffff)
        begin
        cnt<=0;
        led<=~led;
       
        end
end

使用特权

评论回复
地板
entepino| | 2013-8-7 15:41 | 只看该作者
谁来一个VHDL版本?

使用特权

评论回复
5
廊桥拾梦| | 2013-8-9 12:50 | 只看该作者
时钟计数不就ok了吗

使用特权

评论回复
6
EDAbuffalo| | 2013-11-8 21:10 | 只看该作者

process(sysclk)
  begin
     if(sysclk'event and sysclk='1')then
          if(cnt=xxxx)then
              cnt<=(others=>'0');
              signal  <=xx;
          else
              cnt <= cnt++;
           end if;
end process;
  

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

4

帖子

0

粉丝