打印

一个VHDL中整数自加1的问题~

[复制链接]
2434|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
lxyscls|  楼主 | 2008-3-5 15:55 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
lxyscls|  楼主 | 2008-3-6 20:56 | 只看该作者

22

怎么成0~15了?
整数的范围不是很大的么?
但是个人设置范围已经只有0~10了啊~

使用特权

评论回复
板凳
风中De舞者| | 2008-3-8 09:42 | 只看该作者

看了半天没看出来啥

范围设置很简单吧  range 0 to 10

if(a/=10) then 
   a<=a+1;
else a<=0;

使用特权

评论回复
地板
lxyscls|  楼主 | 2008-3-10 16:45 | 只看该作者

55

呵呵,搞懂了~
这些最终都换算成二进制进行~
如果超出的话一直到15~
其他类推~

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

30

主题

89

帖子

1

粉丝