打印

一个PWM程序 不会仿真,请教一下大虾!

[复制链接]
1214|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
xddzccn|  楼主 | 2008-4-23 16:33 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
module     PWM(clk,clk_out,pwm_data,wr);
input      clk,wr;
input[7:0] pwm_data; 
output     clk_out;
reg[7:0]   reg_data;
reg[7:0]   counter;

always@(posedge wr)
begin
reg_data<=pwm_data;
end
always@(posedge clk)    
begin
counter<=counter+1;    
end
assign clk_out=counter>reg_data?0:1;
endmodule
不知道有没有错误,这也是我刚刚开始写verilog程序,
谢谢指点!

相关帖子

沙发
kongliuer| | 2008-4-29 21:06 | 只看该作者

仿真结果错误

去年学的,现在忘得差粗多了。刚用modelsim仿真了一下。结果错误

使用特权

评论回复
板凳
McuPlayer| | 2008-5-1 01:46 | 只看该作者

写个简单的TB就可以了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

41

主题

550

帖子

0

粉丝