打印

VHDL编译出错,高手指点

[复制链接]
1162|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
yaocong|  楼主 | 2008-11-15 13:12 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;

ENTITY cnt6 IS
   PORT(reset,en,clk:IN STD_ULOGIC;
     carry:OUT STD_ULOGIC;
     q:OUT STD_ULOGIC_VECTOR(2 DOWNTO 0);
END cnt6;

ARCHITECTURE rtl OF cnt6 IS
  SIGNALqs:STD_LOGIC_VECTOR(2 DOWNTO 0);
  SIGNAL ca:STD_ULOGIC;
  BEGIN
PROCESS(clk)
     VARIABLE q6:INTEGER;
BEGIN
  IF (clk'EVENT ADN clk='1')  THEN
      IF(reset='1')   THEN
         q6:=0;
ELSIF  (en='1')   THEN
    IF(q6=5)   THEN
    q6:=0;
    ca<='0';
    ELSIF(q6=4)  THEN
    q6:=q6+1;
    ca<='1';
ELSE
    q6:=q6+1;
    ca<='0';
  END IF;
END IF;
END IF;
     qs<=CONV_STD_LOGIC_VECTOR(q6,3);
     q<=TO_STDULOGICVECTOR(qs);
END PROCESS;
   PROCESS(ca,en)
    BEGIN
        carry<=ca AND en;
    END PROCESS;
END rtl;

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

3

帖子

0

粉丝