打印

3-8译码器的疑惑

[复制链接]
1328|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
duanhnhy|  楼主 | 2008-11-29 12:10 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
在学习VHDL语言时,看到书上的3-8译码器的例子,有些疑惑~~~例子如下,下面的SLL 是不是应该用 ROL更合适呢?
肯请各位大侠指点!!!

LIBRARY  IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED_ALL;
ENTITY DECODER IS
    PORT(INP:IN STD_LOGIC_VECTOR(2 DOWNTO 0);
                OUTP:OUT BIT_VECTOR (7 DOWNTO 0));
END DECODER
方法1:使用SLL逻辑运算符
ARCHITECTURE ART1 OF DECODER IS 
  BEGIN 
  OUTP<= "11111110" SLL (CONV_INTEGER(INP));----输出低有效译码
END ART1; 

相关帖子

沙发
g19860529| | 2008-11-29 12:53 | 只看该作者

你们老师教用这些运算符的?

我也在学vhdl的,将很多程序,还真没见过用你这些运算符的。真觉得新鲜。sll和rol这些运算符似乎一般的书都不见的吧,张见识了。我看书上写的是sll是逻辑左移,右端空出的补0,rol是循环逻辑左移。我同意楼主意见。

使用特权

评论回复
板凳
g19860529| | 2008-11-29 13:11 | 只看该作者

你那些操作符在哪里能编译啊?

maxplus里不能通过编译,modelsim6.2b也不行。不知道是我设置有问题还是真的不行。移位运算符是vhdl 93里引入的,真没打见人用过的

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

9

主题

27

帖子

0

粉丝