打印

菜鸟的modesim仿真步骤错在哪里??

[复制链接]
2202|4
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
52mcu|  楼主 | 2009-1-11 21:12 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
1。建立以功能文件div.v 和测试文件tdiv.v
//div.v
module div(clk_i,clk_o,reset);
parameter DIV_N = 4;
input clk_i;
input reset;
output clk_o;
reg clk_o;
integer count;

always @ (negedge reset or posedge clk_i)
begin 
    if(!reset) 
       count <= 0;
    else 
      if (count == 3)
         count <= 0;
      else
        count <= count + 1;
end

always @ (negedge reset or posedge clk_i)
begin 
  if(!reset) 
   clk_o <= 0;
  else
  begin
    if(count <= (DIV_N/2 - 1))
      clk_o <= 0;
    else
      clk_o <= 1;
  end
end
endmodule


//tdiv.v
module testdiv;
reg clk_i;
reg reset;
wire clk_o;
always #50 clk_i = ~clk_i;
initial
begin
  #0 reset = 0;
  clk_i = 0;
  #100 reset = 1;
  #2000 $stop;
end

div div1(.clk_i(clk_i),.clk_o(clk_o),.reset(reset));
endmodule

相关帖子

沙发
52mcu|  楼主 | 2009-1-11 21:29 | 只看该作者

顶一下先

2.在modelsim中建立工程prjVeril.mpf 并将div.v 和tdiv.v添加到工程

3。用菜单complie--〉complie all编译这两个文件无错误


4。在库work中鼠标选择测试模块testdiv 右键选simulate
  自动打开objects窗口和波形串口 可objtcts窗口中看不到任何期望的信号。仿真也看不到任何波形???????请大虾拔刀相助错在何处??为啥菜鸟做个仿真就这么难???(用的是ModelSim SE 6.2b)

使用特权

评论回复
板凳
shuifenzi| | 2009-1-14 13:41 | 只看该作者

第4步还没做完

需要把信号添加到波形窗口
点击VIEW 进入singals窗口,然后点add->wave或design,OK了

使用特权

评论回复
地板
lwf198305| | 2009-1-19 16:07 | 只看该作者

参考其使用手册

因为没有信号变量在列表中,在做VISM时,要选择优化选项中,把信号名选择可见

使用特权

评论回复
5
royzhu| | 2009-1-20 11:37 | 只看该作者

modelsim

please use script

> vlib work
> vlog file.v
> vsim tb.file
> run -all
> quit -sim


OK!!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

157

主题

292

帖子

0

粉丝