打印

对信号赋初始值的问题

[复制链接]
1385|4
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
djjyi|  楼主 | 2009-6-2 14:37 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
自己练写了个程序 定义了一个信号计数变量 想让它的初始值为“00000011”,但是仿真的时候发现 这个变量值却是从“00000000”开始的,请问这是为什么?如果要在进程之前对一个信号赋值 该如何做?谢谢

部分程序如下:
architecture a of m is
signal C:std_logic_vector(7 downto 0):="00000011"; 
begin
process(clk)
begin
    if rising_edge(clk) then 
     C<=C+1;
end if;  
end process;
output<=C;
 end;

相关帖子

沙发
McuPlayer| | 2009-6-2 16:11 | 只看该作者

我的建议是

用一个PIN连接外部的复位电路,或者让主控的CPU提供Reset控制

FPGA则把这个PIN的信号,用CLK同步后,做Reset的操作

使用特权

评论回复
板凳
djjyi|  楼主 | 2009-6-2 16:16 | 只看该作者

谢谢

使用特权

评论回复
地板
jewson| | 2009-8-10 18:13 | 只看该作者
试了一下,没多大问题,最好加上RST

使用特权

评论回复
5
Fourier00| | 2009-8-10 22:54 | 只看该作者
在复位的时候对信号赋初值

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

43

主题

294

帖子

0

粉丝