自己练写了个程序 定义了一个信号计数变量 想让它的初始值为“00000011”,但是仿真的时候发现 这个变量值却是从“00000000”开始的,请问这是为什么?如果要在进程之前对一个信号赋值 该如何做?谢谢
部分程序如下: architecture a of m is signal C:std_logic_vector(7 downto 0):="00000011"; begin process(clk) begin if rising_edge(clk) then C<=C+1; end if; end process; output<=C; end; |