打印
[FPGA]

请教VHDL中怎么调用自己的库呢?

[复制链接]
2711|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
Ashtray|  楼主 | 2007-1-3 10:38 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
大家好 请教一下VHDL中怎么调用自己的库呢?
之前在目录ccmul_vhd下面编译了一个程序ccmul,
在另一个目录mul_package中想将这个程序打包。

开始用
"LIBRARY work;
USE work.ccmul.ALL;"
编译出错
Error: VHDL Use Clause error at mul_package.vhd(2): design library "work" does not contain primary unit "ccmul"

然后改成
"LIBRARY ccmul_vhd;
USE ccmul_vhd.ccmul.ALL;"
仍然不行
Error: VHDL Use Clause error at mul_package.vhd(4): design library "ccmul_vhd" does not contain primary unit "ccmul"

怎么才能调用自己的库呢?希望大家帮忙

相关帖子

沙发
chenhongyi| | 2007-1-4 15:12 | 只看该作者

看看吧

先在你的工作目录中编译一下你的库,
然后在后面的程序中使用:
  USE ccmul_vhd.ccmul.ALL;
这个就能用的.

使用特权

评论回复
板凳
seabird813| | 2007-1-16 20:41 | 只看该作者

定义成COMPONENT,然后在你的顶层声明这个COMPONENT就可直接调用

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

1

帖子

0

粉丝