打印
[FPGA]

求教

[复制链接]
1823|14
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
commander001|  楼主 | 2013-8-11 20:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
关于VHDL编程问题。已经设计好了一个消抖电路,按2个不同的键可以产生2个脉冲。想实现获取不同脉冲信号的上升沿来对同一个变量实现加一或者减一的操作,但是多进程语句不能对同一个信号赋值,求大神指教如何解决问题

相关帖子

沙发
梅花望青竹| | 2013-8-11 23:55 | 只看该作者
没看明白的。

使用特权

评论回复
板凳
梅花望青竹| | 2013-8-11 23:55 | 只看该作者
你可以在同一个进程来设计电路就行的。

使用特权

评论回复
地板
commander001|  楼主 | 2013-8-12 08:42 | 只看该作者
就是说,我已经设计好了两个输入端口up、down,获取他们的上升沿对同一个信号进行加减,比如当up为上升沿时候,对变量tmp加一,当down为上升沿,对tmp减一。但是不同进程不能对同一个信号进行赋值操作。求解决办法

使用特权

评论回复
5
commander001|  楼主 | 2013-8-12 08:43 | 只看该作者
梅花望青竹 发表于 2013-8-11 23:55
你可以在同一个进程来设计电路就行的。

就是说,我已经设计好了两个输入端口up、down,获取他们的上升沿对同一个信号进行加减,比如当up为上升沿时候,对变量tmp加一,当down为上升沿,对tmp减一。但是不同进程不能对同一个信号进行赋值操作。求解决办法

使用特权

评论回复
6
梅花望青竹| | 2013-8-13 00:06 | 只看该作者
commander001 发表于 2013-8-12 08:43
就是说,我已经设计好了两个输入端口up、down,获取他们的上升沿对同一个信号进行加减,比如当up为上升沿 ...

你使用移位的方法来读取这两个信号的上升或者下降的变化。

使用特权

评论回复
7
梅花望青竹| | 2013-8-13 00:06 | 只看该作者
然后在同一个里面操作就行的。

使用特权

评论回复
8
chenkui456| | 2013-8-16 17:24 | 只看该作者
在同一个always里面处理啊

使用特权

评论回复
9
linfeng24| | 2013-8-21 22:18 | 只看该作者
一个always就可以了吧。楼主?

使用特权

评论回复
10
GoldSunMonkey| | 2013-8-22 21:00 | 只看该作者
linfeng24 发表于 2013-8-21 22:18
一个always就可以了吧。楼主?

是呀,肯定可以

使用特权

评论回复
11
GoldSunMonkey| | 2013-8-22 21:01 | 只看该作者
commander001 发表于 2013-8-12 08:42
就是说,我已经设计好了两个输入端口up、down,获取他们的上升沿对同一个信号进行加减,比如当up为上升沿时 ...

还有什么疑问么?

使用特权

评论回复
12
FangTT| | 2013-8-23 21:54 | 只看该作者
GoldSunMonkey 发表于 2013-8-22 21:01
还有什么疑问么?

我没有啦

使用特权

评论回复
13
FangTT| | 2013-8-23 21:54 | 只看该作者
;P

使用特权

评论回复
14
cuitian| | 2013-10-17 21:59 | 只看该作者

使用特权

评论回复
15
EDAbuffalo| | 2013-11-9 23:32 | 只看该作者
实在理解不了,直接两个temp在不同process里赋值,完了再结构体中根据按键决定哪个temp作为输出。。搞定!!!!!!!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

5

帖子

0

粉丝