单击后,在弹出的对话框内适当填写工程文件名,如图2所示。单击【OK】后,一个新的工程文件就建立了。
图2 工程文件名称及位置的填写 4.2 一个最简单的仿真实例[To top] 下面,用一个"与"运算的仿真应用例子来说明ModelSim XE仿真软件的操作过程。通过这个最简单的例子,可以基本掌握该软件的使用方法。
编辑如下两个文件
/* exp1-1.v */
module AND2 ( A, B, OUT );
input A, B;
output OUT;
and U1 ( OUT, A, B );
endmodule /* fig1-9.tst */
`timescale 1ns/1ns
module AND2_TEST;
reg A, B;
wire OUT;
AND2 AND2 (A, B, OUT);
initial begin
A = 0; B = 0;
#100 A = 1;
#100 A = 0; B = 1;
#100 A = 1;
#200 $finish;
end
endmodule
|