打印

V6设置clocking wizard

[复制链接]
3121|7
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
使用Virtex6的clocking wizard来使用分频,先元件申明,
component clk_wiz_v3_3
               port (
                         
                              RESET     : IN   STD_LOGIC;
                              CLK_IN1_P : IN   STD_LOGIC;
                              CLK_IN1_N : IN   STD_LOGIC;
                              CLK_out1  : out  STD_LOGIC
                         );
     END COMPONENT;

然后例化元件,
                    clk_out : clk_wiz_v3_3
  PORT MAP (
    RESET        => RESET,
         CLK_IN1_P    => CLK_P,
         CLK_IN1_N    => CLK_N,         
    CLK_out1     => CLK10K
  );


再在ise core generator中产生ip核,什么都没设置,只设置了差分输入、输入频率,输出频率,结果怎么都不对,示波器测试相应引脚没有clk输出。请帮助看看,谢谢!

相关帖子

沙发
雪夜虫子| | 2013-8-26 16:40 | 只看该作者
1、检查RESET是否极性搞错了;
2、检查输入时钟是否存在,相关管脚约束是否正确。

使用特权

评论回复
板凳
entepino|  楼主 | 2013-8-27 13:05 | 只看该作者
在ise core generator中产生ip核,其中的参数只设置了差分输入(100M)和输出频率10M,其它参数都没设置,在仿真时,差分部分是有的,但CLK_out1没有输出波形,应该是ip核参数没设置对.请问,对于V6的clocking wizard设置,一般都设置哪几个参数,谢谢!

使用特权

评论回复
地板
entepino|  楼主 | 2013-8-27 13:08 | 只看该作者
雪夜虫子 发表于 2013-8-26 16:40
1、检查RESET是否极性搞错了;
2、检查输入时钟是否存在,相关管脚约束是否正确。 ...

时钟是有的,无论仿真还是实际的输入。管脚应该也没有问题,从这个管脚曾经输出过原语对差分时钟的信号的处理。还有其他可能吗,尤其clocking wizard设置部分?

使用特权

评论回复
5
雪夜虫子| | 2013-8-27 15:04 | 只看该作者
本帖最后由 雪夜虫子 于 2015-7-23 09:22 编辑

...

使用特权

评论回复
6
entepino|  楼主 | 2013-8-29 10:08 | 只看该作者

使用特权

评论回复
7
entepino|  楼主 | 2013-8-29 10:10 | 只看该作者
为何选择LX45芯片与选择LX130T芯片,产生的IP核的设置不一样,见上图,很奇怪。

使用特权

评论回复
8
entepino|  楼主 | 2013-8-29 10:12 | 只看该作者
雪夜虫子 发表于 2013-8-27 15:04
RESET呢?是不是搞反了,一直处于复位态了?前一阵有人出过同样的问题。
还有,你可以生产IP的时候把LOCKED ...

确实跟reset有关系,但跟ip的参数也有关系,好像是图2中的primitive 改成DCM_CLKGEN才可以。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

203

主题

629

帖子

1

粉丝