打印
[Verilog HDL]

modelsim仿真遇到问题

[复制链接]
1127|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
沙发
1014291919|  楼主 | 2013-10-1 11:02 | 只看该作者
pll_tb.v

`timescale 1 ps / 1 ps
module pll_tb;
reg    clk_50M;
wire    clk_100M;

plltest    u1(
.clk_50M        (clk_50M),
.clk_100M    (clk_100M));

initial
begin
  clk_50M = 0;
end

always #10000 clk_50M = ~clk_50M;

endmodule

使用特权

评论回复
板凳
1014291919|  楼主 | 2013-10-1 11:03 | 只看该作者
plltest.v


module plltest(
        clk_50M,
        clk_100M
);


input wire        clk_50M;
output wire        clk_100M;






pll        b2v_inst(
        .inclk0(clk_50M),
        .c0(clk_100M));


endmodule

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

34

主题

101

帖子

2

粉丝