打印
[Verilog HDL]

用verilog描述ROM遇到问题,请各位帮忙纠错

[复制链接]
1631|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
vol2088|  楼主 | 2013-10-14 08:37 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
源码如下:
module BIOS_ROM(addr[7:0],data[7:0]);

input [7:0]addr;
output[7:0]data;
reg [7:0]rom_data[255:0];

initial $readmemb("test.mif", rom_data);

assign data = rom_data[addr];

endmodule

module CPU_1(led[3:0],clk);

input clk;
output [3:0]led;
wire [7:0]data1;
wire [7:0]addr1;

parameter times = 23'd 5_000_000;
reg [31:0]T,timer;
reg [7:0]addr2;

BIOS_ROM ROM1(addr1[7:0],data1[7:0]);

assign led = data1 >> 4;
assign addr1 = addr2;

always@(posedge clk)
begin
        if(T == times)
                begin
                        T <= 32'd0;
                        timer <= ~timer;
                end
        else
                T <= T + 32'd1;
end



always@(posedge timer)
begin
        addr2 <= addr2 + 1'b1;
end



endmodule


Error (10170): Verilog HDL syntax error at test.mif(1) near text -
Error: Can't elaborate user hierarchy "BIOS_ROM:ROM1"

相关帖子

沙发
nxszbjyxoxo| | 2013-10-14 08:51 | 只看该作者

使用特权

评论回复
板凳
gaochy1126| | 2013-10-31 23:43 | 只看该作者
直接使用ip核的。

使用特权

评论回复
地板
gaochy1126| | 2013-10-31 23:43 | 只看该作者
自己描述有些麻烦的。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

5

帖子

0

粉丝