打印

FPGA中的输入可以直接输出么

[复制链接]
1237|8
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
JokerLone|  楼主 | 2013-12-1 15:50 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
zchong| | 2013-12-1 21:34 | 只看该作者
完全可以

使用特权

评论回复
板凳
ococ| | 2013-12-2 08:59 | 只看该作者
当然可以~

使用特权

评论回复
地板
JokerLone|  楼主 | 2013-12-2 10:56 | 只看该作者
zchong 发表于 2013-12-1 21:34
完全可以

那就算是输入输出端直接短接么

使用特权

评论回复
5
JokerLone|  楼主 | 2013-12-2 10:57 | 只看该作者
ococ 发表于 2013-12-2 08:59
当然可以~

那就算是输入输出端直接短接么?

使用特权

评论回复
6
ococ| | 2013-12-2 13:18 | 只看该作者
JokerLone 发表于 2013-12-2 10:57
那就算是输入输出端直接短接么?

可以理解为输入输出使用连接线直接连接,延时为线路上的延时。

使用特权

评论回复
7
JokerLone|  楼主 | 2013-12-2 16:14 | 只看该作者
ococ 发表于 2013-12-2 13:18
可以理解为输入输出使用连接线直接连接,延时为线路上的延时。

:handshake

使用特权

评论回复
8
weshiluwei6| | 2013-12-2 16:34 | 只看该作者
同意6樓

使用特权

评论回复
9
bu2zhouzhu| | 2013-12-6 14:18 | 只看该作者
比如输入为A,输出为B。我这样写和楼主是不是一个意思
module
(
  output B,
  input  A
);

assign B = A;
endmodule

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

9

主题

27

帖子

0

粉丝