打印
[FPGA]

请教一个FPGA时序仿真的问题

[复制链接]
946|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
belery00|  楼主 | 2013-12-3 09:50 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
利用modelsim仿真,功能仿真没有问题,时序仿真出现问题,主要功能进行本地时钟校时,一秒校正1次,在第一次时序仿真时,第一秒就出现问题,接受到的数据的校验和与发送的数据校验和不相等,但接受到数据正确,总共8S,之后的几秒未出现这个问题,为查找原因,进行第二次仿真,本次前6s都没问题,但是第7S、8s又出现第一次时序仿真的问题,请教各位高手,会是什么原因导致这样的问题呢,以及怎样修改程序

相关帖子

沙发
haitaox| | 2013-12-3 13:21 | 只看该作者
如果有异步逻辑问题,在仿真中是看不到的

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

19

帖子

1

粉丝