打印
[Quartus]

quartus自带时序仿真出现的问题

[复制链接]
1169|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
lucktony|  楼主 | 2013-12-30 09:55 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 lucktony 于 2013-12-30 10:16 编辑

C:\Users\maletong\Desktop
如图,我用quartus自带的仿真工具来做时序仿真,图中,红线圈的地方是不应该出现的,也就是说,应该是平稳的,高点平就是高电平,低电平就是低电平,而不是平白无故出现一个脉冲似得的东西,请问哪位高人能告诉我这是为什么啊?


11.png (21.57 KB )

11.png

相关帖子

沙发
lizhezhe1988| | 2013-12-30 16:29 | 只看该作者
为什么不把你的代码贴出来呢?仿真器是完全按照你的时序逻辑的,有可能是代码本身逻辑错误导致的……

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

5

帖子

0

粉丝