打印

死区延时的FPGA实现,求思路

[复制链接]
1070|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
bowlder2008|  楼主 | 2014-1-7 13:59 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
一个时钟信号clk,一个输入信号pwm(输入信号和时钟信号同步),已经得到了输入信号pwm的上升沿,如何利用这个上升沿触发计数器,计数到指定值以后清零。用verilog hdl实现!

我有点晕了:因为在CLK的上升沿开始采样,得到了pwm一个周期中的一个上升沿,下一个clk上升沿时,pwm没有上升沿,如何利用开始得到的上升沿触发计数器,计数到指定值以后清零C:\Documents and Settings\w13916\桌面

相关帖子

沙发
bowlder2008|  楼主 | 2014-1-7 14:03 | 只看该作者
请注意,如果在一个always块内设置一个计数使能cnt_en,那么这个计数使能在计数器counter计数到指定值以后应该为0(即停止计数)
如果用锁存器锁存得到的第一个pwm上升沿rising_edge来触发计数器,如何让计数器到达指定的计数以后停止计数。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

6

帖子

0

粉丝