打印

VHDL调用verilog奇怪的问题

[复制链接]
1735|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
drentsi|  楼主 | 2014-1-8 00:28 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
工程中使用到了一个verilog写的模块,我一直用VHDL,把它调用进来,编译,仿真都可以跑,就送仿真结果不对。
单独用VHDL编写一个仿真程序来测试,结果又是对的,仔细检查功能,仍找不出问题来。
后来,直接把仿真测试的代码copy进来调用模块,居然又可以了。
再尝试,发现VERILOG的input 和output不能直接接到封装模块的in 和out上,否则仿真结果不对。
把这些input 和output分别接到signal上,再与in和out相连,居然功能又正确了。
这signal啥都不干,居然会有这样的效果,奇怪吧

相关帖子

沙发
chenzhi658| | 2015-1-14 11:08 | 只看该作者
这个有点顶层调用模块,直接对输入输出信号取反,效果类似,要添加“signal”。学习了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:学习,思考。

144

主题

1718

帖子

43

粉丝