打印
[FPGA]

转载 Modelsim 中 ERROR: No extended dataflow license exists

[复制链接]
2852|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
zhongyan|  楼主 | 2014-2-21 08:47 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
在使用 Modelsim 时遇到  ERROR: No extended dataflow license exists。在网上搜了下,有人给出下面的解释,不知道正不正确,请大家探讨下。


在进行FPGA仿真时,用MODELSIM 来仿真,有可能会遇见这样的问题:# ERROR: No extended dataflow license exists 。特地声明,这不是没有**的原因,如果没有**,则这个软件是不能用的,也就不会出现这样的错误。错误分析如下:

    其实吧,这是个很简单的失误有没有?注意错误提示,“No extended dataflow",就是外部输入的数据流!看下你的模块名和所编写V文件的名字是否一致,还有就是仿真的时候调用TESTBENCH测试代码时的名字可否写错了。把这些小问题注意了,应该就OK了。

    不管怎样,不能进入仿真时,很大可能性就是测试代码编写有错误,逻辑、名称等等,静下来好好检查一下,一般都能解决!


相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

2

帖子

0

粉丝